Intel anuncia avances en procesadores Core Ultra

Intel ha anunciado avances en los procesadores Core Ultra, un procesador E-core con 288 núcleos, la 5ª generación de los procesadores Intel Xeon, el desarrollo de IA en Intel Developer Cloud y más en Intel Innovation en San José, California, el martes 19 de septiembre. Intel está trabajando en su plan de cinco nodos en cuatro años, con una hoja de ruta de nuevos procesadores y técnicas de fabricación previstas hasta 2025.

Índice de Contenido
  1. Nuevos procesadores Intel Xeon incluyen chip de 288 núcleos
    1. Supercomputadora de IA construida con hardware de Intel
  2. Disponible generalmente Intel Developer Cloud
  3. Procesadores Intel Core Ultra y la era del "PC de IA"
  4. Avances en técnicas de fabricación y chips múltiples
    1. Actualización de la especificación Universal Chiplet Interconnect Express

Nuevos procesadores Intel Xeon incluyen chip de 288 núcleos

Intel anuncia avances en procesadores Core Ultra - Hardware | Imagen 1 Newsmatic

Intel presentó una vista previa de los procesadores Intel Xeon de 5ª generación (Figura A), que estarán disponibles a partir del 14 de diciembre. La 5ª generación incluirá un rendimiento acelerado; por ejemplo, la CPU Sierra Forest alcanzó resultados de referencia de una densidad de rack 2.5 veces mejor y un rendimiento por vatio 2.4 veces mayor en comparación con el Xeon de 4ª generación.

Figura A

Intel anuncia avances en procesadores Core Ultra - Hardware | Imagen 2 Newsmatic

Granite Rapids, el siguiente procesador en la hoja de ruta después de Sierra Forest, está optimizado para cargas de trabajo de IA, con un rendimiento de 2 a 3 veces mayor en comparación con el Xeon de 4ª generación, según las proyecciones de Intel. Una variante de Sierra Forest tiene notables 288 núcleos y 12 canales de memoria. El punto más alejado en la hoja de ruta es el Xeon E-core, con el nombre en código Clearwater Forest, que se ejecutará en el nodo de proceso Intel 18A y llegará en 2025.

La escasez global de chips: Cuándo terminará y qué esfuerzos se están haciendo para resolverlaLa escasez global de chips: Cuándo terminará y qué esfuerzos se están haciendo para resolverla

Supercomputadora de IA construida con hardware de Intel

Los procesadores Xeon serán fundamentales en una gran supercomputadora de inteligencia artificial construida con procesadores Intel Xeon y aceleradores de hardware Intel Gaudi2 AI; Gelsinger la llama la "supercomputadora más grande de Europa". Su principal cliente será Stability AI, una empresa de IA generativa multimedia y fabricante de Stable Diffusion.

Disponible generalmente Intel Developer Cloud

A partir del 19 de septiembre, Intel Developer Cloud está disponible en general después de una versión limitada. Esta plataforma es para el desarrollo de IA, entrenamiento, optimización de modelos e inferencia; también permite a los desarrolladores trabajar en Intel Gaudi2, procesadores Intel Xeon de 5ª generación y GPU Data Center Max Series 1100 y 1550. Los desarrolladores pueden acceder a un conjunto de herramientas de API dentro de Intel Developer Cloud.

La plataforma es un camino fácil hacia el hardware, software y la IA optimizada de Intel desde la PC del desarrollador, incluidos hardware y software emergentes, dijo el CEO de Intel, Pat Gelsinger, en la presentación del 19 de septiembre en Intel Innovation. "Cuando el hardware está disponible en volumen, ya has estado trabajando en él durante meses o años", afirmó.

Intel Developer Cloud está disponible en tres categorías: gratuita, premium y empresarial. Puede encontrar más información sobre precios y una lista completa de funciones aquí.

Procesadores Intel Core Ultra y la era del "PC de IA"

Los procesadores Core Ultra de Intel, anteriormente conocidos como el nombre en código Meteor Lake, fueron el corazón de muchas de las tecnologías discutidas durante la presentación. Los procesadores Core Ultra contienen la primera unidad de procesamiento neural integrada de Intel. La NPU es un acelerador optimizado para la IA y realiza inferencias en la PC, lo que permite una aceleración de la IA eficiente en términos de energía e inferencias locales en la PC; dicho de otra manera, permite que las aplicaciones aprovechen el rendimiento de IA sin conexión.

Core Ultra se logró gracias a los avances en hardware de Intel, en particular, la técnica de fabricación de empaquetado Foveros y la arquitectura híbrida de alto rendimiento en 3D del nodo de proceso Intel 4.

Qualcomm desafía a Apple con su plataforma Snapdragon X Elite para PC WindowsQualcomm desafía a Apple con su plataforma Snapdragon X Elite para PC Windows

El CEO de Acer, Jerry Kao, demostró el Intel Core Ultra en una próxima laptop de Acer utilizando bibliotecas de IA desarrolladas por Intel y la herramienta de código abierto de Intel para IA, OpenVINO.

"Vemos el PC de IA como un momento crucial en la innovación tecnológica", dijo Gelsinger.

Microsoft está utilizando Core Ultra en su PC con Windows 11 y planea aprovechar sus capacidades de IA en las próximas funciones de Copilot.

Core Ultra es emocionante porque combina una CPU, GPU y NPU en un solo producto, dijo Gelsinger. "Nuestra NPU permitirá a los desarrolladores de IA aprovechar el software y el marco estándar para el desarrollo de IA y expandir enormemente las aplicaciones para la implementación en borde", afirmó.

Intel Core Ultra estará disponible a partir del 14 de diciembre de 2023.

Avances en técnicas de fabricación y chips múltiples

El programa de desarrollo de procesos de fabricación de Intel de cinco nodos en cuatro años está progresando rápidamente, reveló Gelsinger. Cada nodo de fabricación define un determinado proceso de fabricación de semiconductores. Los cinco nodos del programa se denominan 7, 4, 3, 20A y 18A.

Cómo simular un clic derecho desde el teclado en Windows

En particular, Gelsinger mostró un procesador Arrow Lake basado en el nodo 20A. Este nodo es notable porque podría colocar a Intel por delante de su competidor, TSMC, en término del desarrollo rápido de nuevas técnicas de fabricación y tecnología de producción de chips.

El siguiente y último nodo en el programa, 18A, está en camino para la segunda mitad de 2024.

Actualización de la especificación Universal Chiplet Interconnect Express

El año pasado, Intel anunció la fundación de Universal Chiplet Interconnect Express, un consorcio de especificaciones de la industria para chiplets de próxima generación. El grupo cuenta ahora con unos 120 miembros y ha producido sus primeros chips de prueba, con el nombre en código Pike Creek. El 19 de septiembre, Intel demostró un paquete novedoso de múltiples chiplets basado en UCle interconecta.

En Newsmatic nos especializamos en tecnología de vanguardia, contamos con los artículos mas novedosos sobre Hardware, allí encontraras muchos artículos similares a Intel anuncia avances en procesadores Core Ultra , tenemos lo ultimo en tecnología 2023.

Artículos Relacionados

Subir

Utilizamos cookies para mejorar su experiencia de navegación, mostrarle anuncios o contenidos personalizados y analizar nuestro tráfico. Al hacer clic en “Aceptar todo” usted da su consentimiento a nuestro uso de las cookies.